17

Intel svela la roadmap fino al 2025: fine della guerra dei nanometri e attacco frontale a TSMC

Intel ha rivelato una roadmap fino al 2025 per continuare ad essere un'azienda leader delle CPU. Analizziamola insieme.

SPECIALE di Mattia Armani   —   28/07/2021

Il webcast Intel Accelerated 2021 ha rivelato i piani della compagnia fino al 2025 per rispondere alla concorrenza e tornare alla guida del settore dei processori anche dal punto di vista dell'innovazione. Il cambio di direzione era già evidente nei massicci investimenti in Arizona, destinati a rinforzare nettamente una capacità produttiva interna che fino a qualche tempo fa sembrava addirittura destinata a diminuire.

Oggi invece si prefigura una Intel in sfida aperta con TSMC, il più grande produttore di wafer di silicio, uno scenario reso possibile dalla combinazione di fattori come i finanziamenti della nuova amministrazione statunitense nella produzione interna di transistor, la necessità di far fronte a una concorrenza sempre agguerrita e l'enorme crescita del mercato dei PC di ogni tipo e dimensione.

Da qui novità nei transistor, una nomenclatura tutta nuova che toglie di mezzo i nanometri, un investimento da 20 miliardi in Arizona e un accordo con il gigante dei chip mobile Qualcomm che sarà cliente delle fonderie Intel a partire dal 2024. Ma l'evoluzione delle tecnologie, che includerà balzi in avanti netti per la tecnologia Foveros e quindi per i chip impilati, andrà a vantaggio delle CPU Intel come quelle della serie Meteor Lake. E non solo.

L'evoluzione dei transistor: da FinFET a RibbonFET

Cambio di nomenclatura, ma anche di velocità di avanzamento
Cambio di nomenclatura, ma anche di velocità di avanzamento

Risolti i problemi di produzione, Intel ha deciso infine di fare leva sulle sue ingenti finanze per rilanciarsi e per farlo in grande stile. Le novità più importanti riguardano l'arrivo del nuovo packaging Foveros di seconda generazione, primo passo in avanti di un cammino pensato per portare a maturazione una tecnologia vitale per l'evoluzione dei chip, e gli investimenti sui transistor veri e propri, dall'evoluzione della tecnologia FinFET fino all'arrivo dei nuovi transistor RibbonFET. Ma non è il caso di sottovalutare il cambio di nomenclatura, pensato per dare risalto alle qualità del silicio Intel, anche nell'ottica di Intel Foundry Services e quindi di potenziali nuovi clienti per le fonderie della compagnia.

D'altronde la misura del gate del transistor dice solo una parte della verità e non corrisponde necessariamente ad efficienza e ad una maggiore densità per millimetro quadrato. La riduzione del processo produttivo è importante ma, lo sappiamo bene, i transistor FinFET a 10 nanometri usati nei processori Intel Core di undicesima generazione non sono più arretrati di quelli a 7 nanometri di TSMC, nonostante il nome sembri indicare proprio questo. Da qui nasce la decisione di Intel di mettere da parte la denominazione classica basata sulle dimensioni, per dare ai nuovi processi produttivi nomi più accattivanti, e ben riconoscibili, come Intel 7, Intel 4, Intel 3 e Intel A20. In quest'ultimo caso ritornerà il riferimento alle dimensioni dei transistor, ma la A rimanda agli ångström, una misura che corrisponde a un decimo di un nanometro. Parliamo quindi di 2 nanometri per i chip che dovrebbero entrare in produzione nel 2024, usare transistor di nuova concezione ed essere, anche per questo, impiegati nei chip Qualcomm.

Tra l'altro i piani di Intel prevedono già transistor Intel A18, e quindi da 18 ångström, forse per giocare d'anticipo su TSMC, già al lavoro per progettare i suoi transistor da 2 nanometri, in termini di misurazione quindi analoghi ai summenzionati Intel A20. Ma, l'abbiamo detto, i numeri non dicono tutto dei chip. Con il passaggio ai transistor tridimensionali la densità si è svincolata dalla semplice misura dei gate, tanto che un chip a 10 nanometri Enhanced SuperFin può avere più transistor di un chip di uguali dimensioni basato su processo produttivo a 7 nanometri di TSMC. Ed è un qualcosa che Intel ha voluto rimarcare rinominandolo Intel 7, con una mossa che ribadisce l'intenzione di lanciare una sfida diretta a TSMC.

Ecco quindi come sarà divisa la roadmap di processori di Intel fino al 2025, con le nuove nomenclature.

Intel 7

Intel non vuole che i suoi nuovi transistor vengano sottovalutati
Intel non vuole che i suoi nuovi transistor vengano sottovalutati

Il processo produttivo 10 nanometri Enhanced SuperFin, ora chiamato Intel 7, è quello utilizzato per gli imminenti processori ibridi Alder Lake, in arrivo a fine anno assieme al nuovo socket LGA1700, e secondo Intel è in grado di garantire un incremento nelle prestazioni per watt compreso in una forbice che va dal 10% al 15% rispetto ai transistor 10 nm SuperFin. Questo grazie a ottimizzazioni del transistor FinFET tanto buone da permettere a Intel di utilizzare la tecnologia anche per i processori per datacenter Sapphire Rapids che saranno prodotti a partire dal primo quarto del 2022.

Intel 4

Intel 4, in precedenza destinato a essere il processo produttivo a 7 nanometri, utilizzerà la litografia EUV che, combinata con i transistor FinFET, consentirà di condensare tra i 200 e i 250 milioni di transistor per millimetro quadrato. Dovrebbe quindi risultare superiore ai 5 nanometri TSMC, fermi a circa 170 milioni di transistor per millimetro quadrato, come espresso dalla scelta del numero 4 a rimarcare una superiorità che speriamo di vedere anche all'atto pratico.

D'altronde, lo abbiamo detto, i transistor saranno ancora quelli Intel FinFET sviluppati 10 anni fa. Ma tra ottimizzazioni e nuovo processo produttivo dovrebbero garantire un ulteriore aumento del 20% in termini di rapporto tra watt e prestazioni. La produzione avrà inizio nel 2022 e i primi prodotti dovrebbero arrivare nel 2023, a partire dai processori per desktop e notebook ad alte prestazioni Intel Meteor Lake che, seguiti dalle CPU per datacenter Granite Rapids, sfrutteranno anche il packaging Foveros di nuova generazione. Potrebbero quindi compiere un salto netto in termini di efficienza.

Intel 3

Anche Intel 3 punterà sull'ottimizzazione dei transistor FinFET, combinata però con un aumento della risoluzione della litografia EUV funzionale a un processo produttivo che, come anticipa il nome, dovrebbe essere paragonabile a quello a 3 nanometri di TSMC, almeno in termini di densità di transistor per millimetro quadrato. La promessa, in questo caso, è quella di un aumento del rapporto tra consumi e prestazioni del 18%, coerente con il probabile utilizzo, visto l'inizio della produzione nel 2023, con i processori desktop e notebook Intel, ancora senza nome, in arrivo nel 2024.

Intel 20A

Con Intel A20 i transistor Intel promettono un balzo in avanti epocale
Con Intel A20 i transistor Intel promettono un balzo in avanti epocale

L'arrivo dei transistor Intel 20A, la cui entrata in produzione è prevista per il 2024, sarà molto importante tanto per i futuri processori Intel, quando per l'appetibilità di Intel Foundry Services nei confronti dei clienti. Ma, benché in 3 anni le cose possano cambiare radicalmente, sembrano aver già convinto Qualcomm che approfitterà dell'introduzione di una tecnologia completamente nuova. Dopo 13 anni di onorata carriera, i transistor FinFET saranno finalmente sostituiti dai RibbonFET che promettono davvero grandi cose.

Per prima cosa si parla di gate su tutti i lati, con la promessa di una velocità di switching superiore a quella dei gate attuali a fronte della stessa corrente di attivazione, e di un ingombro inferiore grazie all'uso di pinne verticali che permetteranno anche di produrre transistor dalla larghezza variabile. Ma c'è dell'altro e si tratta della tecnologia PowerVia che promette di risolvere un grosso problema di collo di bottiglia che ha sempre creato problemi nel campo dei processori. La soluzione di Intel è stata quella di separare l'interconnessione dati dalla componentistica elettrica, con quest'ultima piazzata alla base dei transistor e quindi in contatto diretto con l'alimentazione, con tutti i vantaggi del caso in termini di intensità e pulizia del segnale elettrico.

Intel 18A

La roadmap Intel include anche il 2025, l'anno del processo produttivo Intel 18A che presumibilmente si trasformerà in processori veri e propri nel corso del 2026 affinando ulteriormente i transistor RibbonFET e riducendo di un ulteriore 10% le dimensioni del processo produttivo. Questo grazie alla nuova litografia High NA EUV con cui punta a rendere ancora più appetibili le sue fonderie per eventuali clienti. Non a caso per farlo ha deciso di collaborare con ASML, leader nella litografia ultravioletta estrema che ha a disposizione scanner che possono costare fino a 120 milioni di dollari ciascuno.

Foveros: la trasformazione dei processori

Anche l'evoluzione del packaging Foveros promette balzi in avanti notevoli per le CPU del prossimo futuro
Anche l'evoluzione del packaging Foveros promette balzi in avanti notevoli per le CPU del prossimo futuro

La tecnologia di packaging non ha nulla a che fare con le confezioni che contengono i processori, ma riguarda il modo in cui vengono combinate tra loro le diverse componenti dei chip, in questo caso impilate l'una sull'altra in modo da ottenere vantaggi in termini di trasmissione dati, ingombro ed efficienza. La prima incarnazione di Foveros l'abbiamo già vista all'opera con i processori LakeField, con CPU, GPU e memoria impilate sullo stesso die, ma la vedremo impiegata anche nei tile dei processori desktop e notebook Intel Meteor Lake che, in arrivo nel 2023, sfrutteranno i miglioramenti della seconda generazione di Foveros in termini di margini di alimentazione e bump pitch, ridotto a soli 36 micron.

Foveros Omni

Parliamo di una velocità di interconnessione sempre più vicina alle prestazioni dei chip monolitici che migliorerà ulteriormente con il vero balzo in avanti della tecnologia, promesso da Foveros Omni. D'altronde questa terza generazione, che dovrebbe essere disponibile dal 2023 e quindi caratterizzare i chip Intel in arrivo nel 2023, introdurrà anche la possibilità di impilare strati basati su diversi processi produttivi, offrendo una flessibilità pressoché assoluta sia in fase di sviluppo, sia nella gestione energetica di un chip installato in un qualsivoglia sistema. Il tutto arricchito dall'interconnessione die-to-die che consentirà di verificare il funzionamento di ogni singolo componente del chip, in modo da evitare che un eventuale strato fallato possa rovinare l'intera pila.

Foveros Omni e Foveros Direct puntano a prestazioni da chip monolitico abbinate a una flessibilità totale nello sviluppo dei chip
Foveros Omni e Foveros Direct puntano a prestazioni da chip monolitico abbinate a una flessibilità totale nello sviluppo dei chip

Foveros Direct

Assieme a Foveros Omni arriverà anche Foveros Direct che implementerà interconnessione diretta da rame a rame e una densità complessiva decisamente maggiore, con un bump pitch al di sotto dei 10 micron che dovrebbe garantire vantaggi esponenziali in termini di minore resistenza e aumento di efficienza. Sarà quindi un'altra arma fondamentale nell'arsenale di una Intel che dovrà senza dubbio affrontare soluzioni simili sviluppate dalla concorrenza, ma che è senza dubbio tornata a muoversi verso il futuro con un piglio ben più deciso, come ci si aspetta da una compagnia che ha fatto la storia delle CPU e che vuole ancora farla.

Intel ha infatti questa tripla anima che la vede protagonista non solo in campo consumer, ma anche nel mercato dei server con l'evoluzione dell'interconnessione EMIB e nei panni dell'unica fonderia davvero in grado di competere con TSMC almeno sul fronte delle tecnologie del futuro come la litografia ad altissima risoluzione High NA EUV.

Prima però ci sarà il salto verso i processori a core ibridi, con la serie Intel Alder Lake che probabilmente sarà tra i protagonisti dell'evento Intel Innovation previsto per il 27 e il 28 ottobre, in quel di San Francisco.